SPI Interface in an FPGA in VHDL and Verilog




SPI Interface in an FPGA in VHDL and Verilog

This course will take you through the basics of SPI communication.  I will explain how the interface works, what each signal does, and talk about how master to slave communication is possible.  I then go through both the VHDL and Verilog code for an SPI Master controller and show how to communicate with a peripheral device. 

Become an expert at SPI communication, get working code with this course!

Url: View Details

What you will learn
  • Basics of Serial Peripheral Interface (SPI)
  • VHDL and Verilog FPGA working code of SPI interface
  • Testbench (code simulations)

Rating: 4.25

Level: All Levels

Duration: 2 hours

Instructor: Russell Merrick


Courses By:   0-9  A  B  C  D  E  F  G  H  I  J  K  L  M  N  O  P  Q  R  S  T  U  V  W  X  Y  Z 

About US

The display of third-party trademarks and trade names on this site does not necessarily indicate any affiliation or endorsement of coursescompany.com.


© 2021 coursescompany.com. All rights reserved.
View Sitemap